omniture

新思科技聯(lián)合臺(tái)積公司加快3奈米制程創(chuàng)新 | 美通社

2020-10-10 11:00

新思科技(Synopsys, Inc.,納斯達(dá)克股票代碼:SNPS)近日宣布,其數(shù)字和定制設(shè)計(jì)平臺(tái)已獲得臺(tái)積公司3奈米制程技術(shù)驗(yàn)證。此次驗(yàn)證基于臺(tái)積公司的最新設(shè)計(jì)參考手冊(DRM)和工藝設(shè)計(jì)工具包(PDK),是經(jīng)過廣泛合作與嚴(yán)格驗(yàn)證的結(jié)果。該驗(yàn)證旨在提供設(shè)計(jì)解決方案,在獲得優(yōu)化PPA性能的同時(shí)加快新一代設(shè)計(jì)的進(jìn)程。 

通過與臺(tái)積公司密切合作,新思科技開發(fā)了一系列關(guān)鍵的功能和新技術(shù),從而確保從綜合、布局布線到時(shí)序和物理簽核在臺(tái)積公司 N3制程實(shí)現(xiàn)全流程一致性。新思科技的Fusion Compiler? RTL-to-GDSII解決方案和IC Compiler? II布局布線解決方案全面支持臺(tái)積公司 N3制程。新思科技的Design Compiler® NXT綜合解決方案得到增強(qiáng),讓開發(fā)者能夠充分利用臺(tái)積公司 N3技術(shù)優(yōu)勢,獲得高質(zhì)量的設(shè)計(jì)結(jié)果(QoR),并利用高精度的全新電阻和電容估計(jì)方法實(shí)現(xiàn)與IC Compiler? II布局布線解決方案關(guān)聯(lián)的一致性。PrimeTime® 簽核解決方案支持Advanced Mulit-input Switching(MIS),以實(shí)現(xiàn)準(zhǔn)確的時(shí)序分析和簽核收斂。此外,Design Compiler NXT支持臺(tái)積公司 N3制程多種工藝,以實(shí)現(xiàn)高性能計(jì)算和移動(dòng)芯片設(shè)計(jì)。 

根據(jù)臺(tái)積公司 N3制程技術(shù)特點(diǎn),新思科技進(jìn)一步增強(qiáng)了支持引腳密度感知布局和全局布線建模的數(shù)字設(shè)計(jì)平臺(tái),以實(shí)現(xiàn)更好的標(biāo)準(zhǔn)單元引腳布線收斂;協(xié)同單元放置檢查和優(yōu)化(CLO),以實(shí)現(xiàn)更快的時(shí)序收斂;通過新的單元映射(單元密度)基礎(chǔ)架構(gòu),最大化利用空余空間來改善PPA;并通過自動(dòng)生成過孔支柱(via pillar)和部分平行布線實(shí)現(xiàn)互連優(yōu)化,以實(shí)現(xiàn)高性能設(shè)計(jì);優(yōu)化功耗感知混合驅(qū)動(dòng)強(qiáng)度多位觸發(fā)器(MBFF),以實(shí)現(xiàn)低功耗設(shè)計(jì)。 

在新思科技定制的設(shè)計(jì)平臺(tái)中增強(qiáng)了Custom Compiler的功能,以加快實(shí)現(xiàn)N3模擬芯片設(shè)計(jì)。這些功能增強(qiáng)是與N3早期用戶(包括DesignWare® IP團(tuán)隊(duì))共同開發(fā)并驗(yàn)證的,可減少新設(shè)計(jì)規(guī)則和其他N3技術(shù)要求所需的工作量。新思科技HSPICE®、FineSim®和CustomSim?仿真解決方案有助于縮短基于臺(tái)積公司 N3制程技術(shù)芯片設(shè)計(jì)的時(shí)間,并為臺(tái)積公司 N3電路仿真和可靠性要求提供簽核覆蓋。

(美通社,2020年10月10日加州山景城)